CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LCD VERILOG

搜索资源列表

  1. verilog_lcd

    0下载:
  2. 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-with Verilog HDL write on the LCD display text of the source
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:424058
    • 提供者:yhr
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. LCD_AV

    0下载:
  2. 这是用Verilog语言编写AV型LCD屏的驱动程序CPLD上运行并调试成功的。可用作数字到模拟LCD转换-Verilog language AV-screen LCD driver CPLD debugging and running successful. Can be used to simulate LCD digital conversion
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:913
    • 提供者:kensom
  1. 9.2_LCD_PULSE

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.2 具有LCD显示单元的可编程单脉冲发生器   9.2.1 LCD显示单元的工作原理   9.2.2 显示逻辑设计的思路与流程   9.2.3 LCD显示单元的硬件实现   9.2.4 可编程单脉冲数据的BCD码化   9.2.5 task的使用方法   9.2.6 for循环语句的使用方法   9.2.7 二进制数转换BCD码的硬件实现   9.2.8 可编程单脉冲发生器与显示单元的接口
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5267
    • 提供者:宁宁
  1. lcd_module

    0下载:
  2. verilog code which receive from uart RX and then output to lcd text display.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2043
    • 提供者:蔡俊仪
  1. LCD_Driver

    0下载:
  2. LCD的驱动程序 用verilog HDL 编写 可以用于FPGA上 经过测试 可以使用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1818
    • 提供者:德刚
  1. tft_cntlr_ref_v1_00_c

    1下载:
  2. TFT LCD 控制器的VERILOG 源代码程序,已在某项目上成功应用.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16088
    • 提供者:liubing
  1. DE2_LCD

    0下载:
  2. 本源码是用verilog编写控制LCD——使用Quartusii,开发平台使用的是DE2开发板,可实现1602上任意字符显示
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:522031
    • 提供者:lf
  1. 在de2FPGA开发板上实现视频的采集

    0下载:
  2. 在de2FPGA开发板上实现视频的采集,以及播放~~verilog代码 希望对大家有所帮助,CCD to capture video sent to SDRAM LCM to controller LCD LCD to display the picture~
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-17
    • 文件大小:4649306
    • 提供者:Wu
  1. lcd_dsp

    0下载:
  2. verilog编写的串口和液晶驱动的程序。FPGA接收串口的数据,然后在液晶上显示,用的是黑金开发板。-verilog prepared by the serial and the LCD driver program. FPGA receives serial data, and then in the liquid crystal display, with the black gold development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:25279488
    • 提供者:zhangshaobo
  1. LCD12864

    0下载:
  2. 1 fpga驱动lcd液晶12864的verilog源程序 (显示英文,可以在源程序中直接修改成自己想要显示的英文) 2 引脚配置完成,程序已经测试,完全好用 3 使用的FPGA芯片是altera的max2EP2C5T1-1 fpga driver' s verilog source code 12864 lcd LCD (display in English, you can directly modify the source program into what you w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:620019
    • 提供者:pomao
  1. Oscilloscope

    0下载:
  2. 用verilog语言编写的数字示波器,在tft 2.4英寸液晶上显示波形、峰值等。-Verilog language with a digital oscilloscope, in tft 2.4 inch LCD display waveforms, peak, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-30
    • 文件大小:1713152
    • 提供者:孟祥龙
  1. 12864

    0下载:
  2. 用verilog写的12864液晶的驱动程序,用的是EP2C8Q240C8的芯片。-Verilog wrote in 12864 LCD driver, use is EP2C8Q240C8 chip.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:836871
    • 提供者:jin
  1. EPM240_analog_lcd_moudle_controller(sch_pcb_democo

    1下载:
  2. EPM240做的模拟屏控制器,有原理图(PDF),PCB(PDF),手册(DOC),程序(verilog),PIN文件。淘宝上有得买-EPM240 analog LCD moudle controller with schematic,PCB,demo code,handbook,pin assiment file。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:498075
    • 提供者:SEED
  1. OCMJ2X8

    0下载:
  2. 用verilog写的对OCMJ2X8液晶模块控制代码,显示汉字和数字-Written using Verilog OCMJ2X8 LCD module on the control code indicating the number of Chinese characters and
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:252124
    • 提供者:iversn
  1. c51

    1下载:
  2. 51单片机,USB,触摸,TFT,的等综合应用,高级别。(usb+flash+touch+tft+ram综合测试)-51 single-chip, USB, Touch, TFT, integrated applications (usb+ flash+ touch+ tft+ ram General Test)
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-03-21
    • 文件大小:1505507
    • 提供者:程明
  1. ov7670_sdram_lcd

    0下载:
  2. ov7670采集图像信息,缓存到SDRAM内部,再输出到lcd显示屏来显示出来。(Ov7670 collects image information, caches inside SDRAM, and then outputs it to the LCD display to display it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:7924736
    • 提供者:过客3944
  1. verilog

    0下载:
  2. lcd1602 12864显示程序代码,串口传输数据代码(lcd1602 12864 code,UART code.)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:7168
    • 提供者:打蛋器
  1. 实验12 基于SPI的93LC46存储实验

    1下载:
  2. 用于实现通过spi传输数据进行读写并在lcd显示(It is used to read and write data transmitted through SPI and display in LCD.)
  3. 所属分类:Windows编程

    • 发布日期:2018-05-03
    • 文件大小:309248
    • 提供者:bingl
  1. ILI9341 TFT LCD FPGA驱动

    10下载:
  2. 这是一个完整的ILI9341 LCD驱动芯片的Verilog HDL驱动工程,调试通过验证。
  3. 所属分类:VHDL编程

« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »
搜珍网 www.dssz.com